WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:638 - in unit LED_Light Conflict on KEEP property on signal Mtridata_SSG<5> and Mtridata_SSG<6> Mtridata_SSG<6> signal will be lost. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:638 - in unit LED_Lighting Conflict on KEEP property on signal Mtridata_SSG<5> and Mtridata_SSG<6> Mtridata_SSG<6> signal will be lost. WARNING:Xst:638 - in unit LED_Lighting Conflict on KEEP property on signal Mtridata_SSG<0> and Mtridata_SSG<1> Mtridata_SSG<1> signal will be lost. WARNING:Xst:638 - in unit LED_Lighting Conflict on KEEP property on signal Mtridata_SSG<2> and Mtridata_SSG<3> Mtridata_SSG<3> signal will be lost. WARNING:Xst:638 - in unit LED_Lighting Conflict on KEEP property on signal Mtridata_SSG<2> and Mtridata_SSG<4> Mtridata_SSG<4> signal will be lost. WARNING:Xst:638 - in unit LED_Lighting Conflict on KEEP property on signal Mtridata_SSG<2> and Mtridata_SSG<7> Mtridata_SSG<7> signal will be lost. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block .